交流電動(dòng)機(jī)變頻調(diào)速系統(tǒng).rar
交流電動(dòng)機(jī)變頻調(diào)速系統(tǒng),4.3萬字62頁(yè)附錄1 基準(zhǔn)計(jì)數(shù)器模塊vhdl語(yǔ)言描述附錄2系統(tǒng)電氣原理圖摘要最近幾年,隨著新型電力電子器件的不斷涌現(xiàn)和計(jì)算機(jī)技術(shù)的飛速發(fā)展,高性能的得到了廣泛的應(yīng)用,他的顯著的節(jié)能效果和靈活的運(yùn)行方式,給人們留下了深刻的印象。本論文首先論述了變頻調(diào)速的基礎(chǔ)技術(shù),簡(jiǎn)述了它在我...
該文檔為壓縮文件,包含的文件列表如下:
內(nèi)容介紹
原文檔由會(huì)員 littey 發(fā)布
交流電動(dòng)機(jī)變頻調(diào)速系統(tǒng)
4.3萬字 62頁(yè)
附錄1 基準(zhǔn)計(jì)數(shù)器模塊VHDL語(yǔ)言描述
附錄2 系統(tǒng)電氣原理圖
摘 要
最近幾年,隨著新型電力電子器件的不斷涌現(xiàn)和計(jì)算機(jī)技術(shù)的飛速發(fā)展,高性能的交流電動(dòng)機(jī)變頻調(diào)速系統(tǒng)得到了廣泛的應(yīng)用,他的顯著的節(jié)能效果和靈活的運(yùn)行方式,給人們留下了深刻的印象。
本論文首先論述了變頻調(diào)速的基礎(chǔ)技術(shù),簡(jiǎn)述了它在我國(guó)的發(fā)展和應(yīng)用以及今后在這方面應(yīng)做的工作;其次對(duì)系統(tǒng)的主電路、控制電路、電氣控制電路以及實(shí)現(xiàn)控制的軟、硬件進(jìn)行了系統(tǒng)地分析,并對(duì)調(diào)速系統(tǒng)的實(shí)施方案進(jìn)行了論證。在此基礎(chǔ)上,調(diào)速系統(tǒng)主電路采用了交-直-交型電路形式,并采用IGBT作為主電路的功率開關(guān)器件;根據(jù)PWM波形的生成原理,采用VHDL語(yǔ)言,從硬件和軟件上探討了基于CPLD,用于IGBT控制的數(shù)字化PWM波形產(chǎn)生器的實(shí)現(xiàn)方法;根據(jù)系統(tǒng)的設(shè)計(jì)要求,選擇了轉(zhuǎn)速負(fù)反饋控制,提高了系統(tǒng)的精度和穩(wěn)定度;最后完成了相應(yīng)的電氣控制電路和直流電源的設(shè)計(jì)。
經(jīng)相關(guān)的實(shí)驗(yàn)及仿真波形分析,表明該系統(tǒng)滿足預(yù)期的設(shè)計(jì)要求。
關(guān)鍵詞: 交流調(diào)速 變頻調(diào)速 IGBT CPLD PWM
Abstract
In recent years, with the development of new power electronic component and computer technique, high performance AC Motor VVVF system has been applied extensively. More and more people are interesting in its striking effect on power-saving and flexible operating mode.
First, the basic technique of speed control by frequency variation is introduced briefly , and then the development and application in our country is summarized and we should do in future; Second, the main circuit、control circuit、electrical control circuit and software and hardware of the control device is analyzed systematically. Based on the foregoing analyses, AC—DC—AC voltage source inverter( VSI ) and IGBT devices are selected in the main circuit . According to the generating theory of PWM wave, a new method of digital PWM wave generator based on CPLD using VHDL language is discussed from the point view of hardware and software. A rotate speed negative feedback control is adopted according to the design request of the system, which improves the system’s accuracy and stabilization. At last, the design of corresponding circuit of electric control and DC power is completed.
It is proved by design experiments and simulation waves that this design accords with the expectable requirements.
Keywords:AC speed - adjusting; Variance-frequency speed - adjusting;IGBT;CPLD;PWM
目 錄
第一章 前言.............................................................................................................1
1.1 交流變頻調(diào)速技術(shù)的發(fā)展與研究現(xiàn)狀 ...................................................1
1.2 變頻調(diào)速技術(shù)的優(yōu)點(diǎn)和發(fā)展方向 .....................................................2
1.3 相關(guān)技術(shù)分析 .........................................................................................4
1.4 本章小結(jié).....................................................................................................5
第二章 系統(tǒng)方案論證 .........................................................................................6
2.1 系統(tǒng)主電路方案的確定 .........................................................................6
2.2 系統(tǒng)控制電路方案的確定 .....................................................................8
2.3 系統(tǒng)電路總體框圖 ..................................................................................10
2.4 本論文所完成的任務(wù) ..............................................................................10
2.5 本章小結(jié) ..................................................................................................11
第三章 系統(tǒng)主電路設(shè)計(jì) ......................................................................................12
3.1 主電路工作原理 ......................................................................................12
3.2 系統(tǒng)主電路參數(shù)設(shè)計(jì)與選擇 ..................................................................15
3.3 本章小結(jié) ..................................................................................................19
第四章 系統(tǒng)控制電路設(shè)計(jì) ..................................................................................20
4.1觸發(fā)控制回路設(shè)計(jì) .............................................................................20
4.2 驅(qū)動(dòng)電路設(shè)計(jì)............................................................................................30
4.3 保護(hù)電路設(shè)計(jì) ........................................................................................32
4.4 系統(tǒng)所需直流電源設(shè)計(jì) ..........................................................................41
4.5 本章小結(jié) ..............................................................................................44
第五章 系統(tǒng)電氣控制線路設(shè)計(jì) ..........................................................................45
5.1 系統(tǒng)電氣控制線路主要設(shè)計(jì)要求 ..........................................................45
5.2 電氣控制單元電路設(shè)計(jì) ..........................................................................45
5.3 系統(tǒng)電氣控制線路原理圖 ......................................................................48
5.4 本章小結(jié) ..................................................................................................48
第六章 結(jié)論 ..........................................................................................................49
參考文獻(xiàn) ..................................................................................................................50
結(jié)束語(yǔ) ......................................................................................................................51
附 錄 ........................................................................................................................52
參 考 文 獻(xiàn)
[1] 黃俊,王兆安編. 電力電子變流技術(shù)[M]. 北京:機(jī)械工業(yè)出版社
[2] 姜立東等編注. VHDL語(yǔ)言程序設(shè)計(jì)及應(yīng)用[M]. 北京:郵電大學(xué)出版社
附錄1
附1.1 基準(zhǔn)計(jì)數(shù)器模塊VHDL語(yǔ)言描述
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter is
......
附錄2 系統(tǒng)電氣原理圖
4.3萬字 62頁(yè)
附錄1 基準(zhǔn)計(jì)數(shù)器模塊VHDL語(yǔ)言描述
附錄2 系統(tǒng)電氣原理圖
摘 要
最近幾年,隨著新型電力電子器件的不斷涌現(xiàn)和計(jì)算機(jī)技術(shù)的飛速發(fā)展,高性能的交流電動(dòng)機(jī)變頻調(diào)速系統(tǒng)得到了廣泛的應(yīng)用,他的顯著的節(jié)能效果和靈活的運(yùn)行方式,給人們留下了深刻的印象。
本論文首先論述了變頻調(diào)速的基礎(chǔ)技術(shù),簡(jiǎn)述了它在我國(guó)的發(fā)展和應(yīng)用以及今后在這方面應(yīng)做的工作;其次對(duì)系統(tǒng)的主電路、控制電路、電氣控制電路以及實(shí)現(xiàn)控制的軟、硬件進(jìn)行了系統(tǒng)地分析,并對(duì)調(diào)速系統(tǒng)的實(shí)施方案進(jìn)行了論證。在此基礎(chǔ)上,調(diào)速系統(tǒng)主電路采用了交-直-交型電路形式,并采用IGBT作為主電路的功率開關(guān)器件;根據(jù)PWM波形的生成原理,采用VHDL語(yǔ)言,從硬件和軟件上探討了基于CPLD,用于IGBT控制的數(shù)字化PWM波形產(chǎn)生器的實(shí)現(xiàn)方法;根據(jù)系統(tǒng)的設(shè)計(jì)要求,選擇了轉(zhuǎn)速負(fù)反饋控制,提高了系統(tǒng)的精度和穩(wěn)定度;最后完成了相應(yīng)的電氣控制電路和直流電源的設(shè)計(jì)。
經(jīng)相關(guān)的實(shí)驗(yàn)及仿真波形分析,表明該系統(tǒng)滿足預(yù)期的設(shè)計(jì)要求。
關(guān)鍵詞: 交流調(diào)速 變頻調(diào)速 IGBT CPLD PWM
Abstract
In recent years, with the development of new power electronic component and computer technique, high performance AC Motor VVVF system has been applied extensively. More and more people are interesting in its striking effect on power-saving and flexible operating mode.
First, the basic technique of speed control by frequency variation is introduced briefly , and then the development and application in our country is summarized and we should do in future; Second, the main circuit、control circuit、electrical control circuit and software and hardware of the control device is analyzed systematically. Based on the foregoing analyses, AC—DC—AC voltage source inverter( VSI ) and IGBT devices are selected in the main circuit . According to the generating theory of PWM wave, a new method of digital PWM wave generator based on CPLD using VHDL language is discussed from the point view of hardware and software. A rotate speed negative feedback control is adopted according to the design request of the system, which improves the system’s accuracy and stabilization. At last, the design of corresponding circuit of electric control and DC power is completed.
It is proved by design experiments and simulation waves that this design accords with the expectable requirements.
Keywords:AC speed - adjusting; Variance-frequency speed - adjusting;IGBT;CPLD;PWM
目 錄
第一章 前言.............................................................................................................1
1.1 交流變頻調(diào)速技術(shù)的發(fā)展與研究現(xiàn)狀 ...................................................1
1.2 變頻調(diào)速技術(shù)的優(yōu)點(diǎn)和發(fā)展方向 .....................................................2
1.3 相關(guān)技術(shù)分析 .........................................................................................4
1.4 本章小結(jié).....................................................................................................5
第二章 系統(tǒng)方案論證 .........................................................................................6
2.1 系統(tǒng)主電路方案的確定 .........................................................................6
2.2 系統(tǒng)控制電路方案的確定 .....................................................................8
2.3 系統(tǒng)電路總體框圖 ..................................................................................10
2.4 本論文所完成的任務(wù) ..............................................................................10
2.5 本章小結(jié) ..................................................................................................11
第三章 系統(tǒng)主電路設(shè)計(jì) ......................................................................................12
3.1 主電路工作原理 ......................................................................................12
3.2 系統(tǒng)主電路參數(shù)設(shè)計(jì)與選擇 ..................................................................15
3.3 本章小結(jié) ..................................................................................................19
第四章 系統(tǒng)控制電路設(shè)計(jì) ..................................................................................20
4.1觸發(fā)控制回路設(shè)計(jì) .............................................................................20
4.2 驅(qū)動(dòng)電路設(shè)計(jì)............................................................................................30
4.3 保護(hù)電路設(shè)計(jì) ........................................................................................32
4.4 系統(tǒng)所需直流電源設(shè)計(jì) ..........................................................................41
4.5 本章小結(jié) ..............................................................................................44
第五章 系統(tǒng)電氣控制線路設(shè)計(jì) ..........................................................................45
5.1 系統(tǒng)電氣控制線路主要設(shè)計(jì)要求 ..........................................................45
5.2 電氣控制單元電路設(shè)計(jì) ..........................................................................45
5.3 系統(tǒng)電氣控制線路原理圖 ......................................................................48
5.4 本章小結(jié) ..................................................................................................48
第六章 結(jié)論 ..........................................................................................................49
參考文獻(xiàn) ..................................................................................................................50
結(jié)束語(yǔ) ......................................................................................................................51
附 錄 ........................................................................................................................52
參 考 文 獻(xiàn)
[1] 黃俊,王兆安編. 電力電子變流技術(shù)[M]. 北京:機(jī)械工業(yè)出版社
[2] 姜立東等編注. VHDL語(yǔ)言程序設(shè)計(jì)及應(yīng)用[M]. 北京:郵電大學(xué)出版社
附錄1
附1.1 基準(zhǔn)計(jì)數(shù)器模塊VHDL語(yǔ)言描述
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter is
......
附錄2 系統(tǒng)電氣原理圖
TA們正在看...
- 住院醫(yī)師培訓(xùn)帕金森病病史查體ppt.ppt
- 住院患者壓瘡護(hù)理風(fēng)險(xiǎn)評(píng)估及管理ppt.ppt
- 住院患者發(fā)生壓瘡原因分析ppt.ppt
- 住院患者跌倒風(fēng)險(xiǎn)評(píng)估與護(hù)理指導(dǎo)意見ppt.ppt
- 住院藥房工作制度與操作規(guī)程ppt.ppt
- 佐佐木英夫與他的口袋公園ppt.ppt
- 體位擺放松弛訓(xùn)練呼吸訓(xùn)練體位排痰ppt.ppt
- 體內(nèi)有濕氣2017最新ppt.ppt
- 體內(nèi)藥物分析常用生物樣品處理方法和方法學(xué)驗(yàn)證ppt.ppt
- 體味文化課件最新ppt.ppt